Filtro Fir Reporte

  • Uploaded by: Carlos Melchor
  • 0
  • 0
  • March 2021
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Filtro Fir Reporte as PDF for free.

More details

  • Words: 3,082
  • Pages: 17
Loading documents preview...
UNIDAD PROFESIONAL INTERDISCIPLINARIA EN INGENIERÍA Y TECNOLOGÍAS AVANZADAS

PRÁCTICA 06 – DISPOSITIVOS PROGRAMABLES Implementación de filtros digitales FIR Profesor: Madrigal Bravo Juan Manuel 4TM1

Equipo 6: Melchor Sánchez Carlos Miranda Romero Lisset Esperanza Moreno Hernández Elodia del Carmen

Fecha de entrega: 05/03/2019 1

ÍNDICE Objetivo --------------------------------------------------------------------------------------------------3

Antecedentes ------------------------------------------------------------------------------------------3 Filtro analógico -------------------------------------------------------------------------------3 Filtro digital ------------------------------------------------------------------------------------3 Filtro pasa bajas -------------------------------------------------------------------------------3 Filtro pasa altas---------------------------------------------------------------------------------4 Filtro pasa banda ----------------------------------------------------------------------------4 Filtro rechaza banda ------------------------------------------------------------------------4 Función de transferencia -----------------------------------------------------------------5 Respuesta al impulso ----------------------------------------------------------------------5 Respuesta en frecuencia ------------------------------------------------------------------5 Respuesta en magnitud -------------------------------------------------------------------6

Material y equipo -------------------------------------------------------------------------------------6

Desarrollo------------------------------------------------------------------------------------------------7 Cuestionario ------------------------------------------------------------------------------------------14

Observaciones y conclusiones ----------------------------------------------------------------16

Referencias -------------------------------------------------------------------------------------------17

2

Objetivo: Implementación filtros digitales FIR en un procesador digital de señales.

Antecedentes Filtro analógico: Un filtro analógico emplea circuitos electrónico con componentes discretos tales como resistencias, condensadores, amplificadores operacionales... que sean requeridos para el filtrado deseado. Tales filtros son muy empleados para reducción de ruido, mejora de señales de vídeo, ecualizadores gráficos y muchas otras áreas. Hay técnicas estándares bien asentadas para diseñar un filtro analógico con un requerimiento dado. En todas las diversas etapas la señal está siendo filtrada como un voltaje o corriente eléctrica, es decir, se involucra una magnitud física y real directamente de la señal analógica. Los filtros analógicos operan con señales que son continuas en el tiempo, tanto las de entrada como las de salida (a diferencia de los filtros digitales, que operan con señales discretas) Se desarrollarán a continuación los conceptos de partida que luego se aplican en el trabajo. La transformada de Laplace de una función 𝑓(𝑡) está definida por la expresión:

La principal utilidad de la transformada de Laplace es que transforma ecuaciones diferenciales en el dominio t (tiempo) en ecuaciones algebraicas en el dominio s (frecuencia), por lo que resulta ser una herramienta muy útil. La transformada de Laplace encuentra una aplicación especial en el campo de las señales y el análisis de los sistemas lineales. Cuando un sistema es sujeto a una excitación (entrada), produce una respuesta (salida)(figura 1). Cuando la entrada u(t) y la salida x(t) son funciones de una sola variable t, que representa el tiempo, es normal referirse a ellas como señales.

Esta información está en [5] y [6] Filtro digital: Un filtro digital emplea un procesador digital que efectúa operaciones matemáticas en valores muestreados de la señal. El procesador puede ser de propósito general, tal como cualquier ordenador personal, un chip DSP (Procesador Digital de Señales) especializado o una FPGA programable. La señal de entrada analógica debe ser muestreada y digitalizada usando un ADC (conversor analógicodigital). El resultado son números binarios que representan los valores sucesivos muestreados. Estos son transferidos al procesador, el cual efectúa operaciones matemáticas en ellos. Las operaciones pueden ser desde filtros de promediado de la muestra actual con alguna de las anteriores hasta multiplicaciones por constantes de los valores de entrada o de instantes anteriores almacenados en memoria, para posteriormente sumar estos resultados de la multiplicación y dar una salida. Esta información está en [7]. Filtro pasa bajas Un filtro pasa baja se caracteriza por atenuar todas las componentes de la señal cuya frecuencia sea superior a una determinada, llamada “frecuencia de corte” y mantiene las restantes.

3

Figura 2. Filtro pasa bajas

Filtro pasa altas Se caracteriza por atenuar todas las componentes con frecuencia inferior a la de corte y mantiene las superiores.

Figura 3. Filtro pasa altas

Filtro pasa banda: El filtro pasa-banda tiene la característica de transmitir un rango de frecuencias (banda de paso) y de rechazar dos bandas de frecuencias (bandas de rechazo), una de las bandas de rechazo contiene frecuencias menores que las frecuencias de la banda de paso (ω1), mientras que la otra banda de rechazo contiene frecuencias mayores a las de la banda de paso ( ω2). Esta información está en [7].

Figura 4. Filtro pasa banda ideal

Filtro rechaza banda: Un filtro rechaza banda es lo opuesto al filtro pasa-banda. Todas las señales de frecuencias son transmitidas excepto aquellas que caen dentro de cierta banda o rango específico. Debido a que rechazan o atenúan componentes de frecuencias no deseadas, este tipo de filtros es utilizado para eliminar señales de ruido, tal como el ruido de 60 Hz, inducido por las líneas de CA (Corriente Alterna). Esta información está en [7].

4

Figura 5. Filtro rechaza banda ideal

Función de transferencia: Una función de transferencia es un modelo matemático que a través de un cociente relaciona la respuesta de un con una señal de entrada o excitación. A menudo se usan las funciones de transferencia para caracterizar las relaciones de entrada y salida de componentes o de sistemas que se describen mediante ecuaciones diferenciales lineales e invariantes en el tiempo. El modelo básico de un sistema describe matemáticamente la influencia de una señal de entrada 𝑢(𝑡) sobre otra señal de salida 𝑦(𝑡). Supóngase que ambas están relacionadas mediante una ecuación diferencial lineal con coeficientes constantes, de orden 𝑛.

Esta información está en [7] y [8].

Respuesta al impulso: La respuesta a un impulso o respuesta impulsiva de un sistema es la que se presenta en la salida cuando en la entrada se introduce un impulso. Un impulso es el caso límite de un pulso infinitamente corto en el tiempo pero que mantiene su área o integral (por lo cual tiene un pico de amplitud infinitamente alto). Esta información está en [7]. Respuesta en frecuencia: Se conoce por respuesta en frecuencia, a la respuesta de un sistema, en régimen permanente, cuando se utiliza como señal de entrada una excitación senoidal de amplitud constante y de frecuencia variable desde cero hasta infinito. La nueva función, G, es una función de variable compleja, cuya representación en amplitud y argumento expresará, la amplificación o atenuación del equipo y el desfase introducido a una determinada frecuencia. Esta información está en [9].

5

Respuesta en magnitud: La respuesta en magnitud describe la magnitud de ganancia de un sistema como una funcion de la frecuencia bajo condiciones de excitación senoidal. Las especificaciones del filtro son frecuentemente dadas en decibeles.

Sin embargo, las especificaciones en magnitud pueden también ser dadas en forma normalizada, donde el valor pasa-banda máximo es normalizado a “1” (0 dB)

Material y equipo 1 kit de desarrollo DSK6713 Computadora con CCS v8.x y puerto USB Micrófono Audífono Cable auxiliar 3.5 mm Matlab

6

Desarrollo. 1. Verificar que dentro de la carpeta de ti, se encuentren las siguientes subcarpetas en el CCS V8.x:

2. Crear un proyecto con nombre DSP06_FIR.pjt en el Code Composer Studio V8.x. No se admite usar un proyecto existente.

3. Verificar que la constante CHIP_6713 esté definida usando la secuencia en el CCS 8.x:

Propiedades → Build → C6000 Compiler → Advanced Options → Predefined Symbols → Pre-define NAME

4. Verificar que esté definido el modelo de acceso de datos de tipo far, usando la siguiente secuencia: Propiedades → Build → C6000 Compiler → Advanced Options → Runtime Model Options → Data acces model

7

5. Agregar al proyecto el archivo: vectors_intr.asm desde zsupport en el cual están contenidos los vectores de interrupción. (Este archivo forma parte de [3]). En el CCS 8.x este archivo debe copiarse a la carpeta del proyecto. 6. Agregue las librerías .lib: En la versión 8.x del CCS agregue las siguientes rutas siguiendo la secuencia: Propiedades→ Build → C6000 Linker → File Search Path → Include Library File C:\ti\C6xCSL\lib_3x C:\ti\DSK6713\c6000 C:\ti\ccs710\ccsv7\tools\compiler\c6000_7.4.23\lib

7. Agregar las rutas de las bibliotecas .h: C:\ti\C6xCSL\include C:\ti\DSK6713\c6000\dsk6713\include C:\ti\ccs710\ccsv8\tools\compiler\c6000_7.4.23\include

8. Agregar al proyecto desde zsupport el archivo: C6713dskinit.c en el cual se encuentra el soporte para las funciones: input_sample, output_sample, comm_intr, etc. (este archivo forma parte de [3]). En el CCS 8.x este archivo debe copiarse a la carpeta del proyecto.

8

9. Agregue al proyecto desde zsupport el archivo de comandos del enlazador: c6713dsk.cmd (este archivo forma parte de [3]). En el CCS 8.x este archivo debe copiarse a la carpeta del proyecto y colocar la ruta usando la secuencia Propiedades→ General → Linker Command File.

10. Solo para el CCS 8.x copiar el archivo

11. Crear un archivo que se llame

C6713dskinit.h a la carpeta del proyecto.

rbfN__FIR.cof

e incluya los coeficientes los cuales

representan la respuesta al impulso de un filtro FIR. Este archivo no se necesita agregar al proyecto ya que el programa principal hace referencia a él. El archivo de coeficientes debe tener el siguiente formato. En el espacio en blanco coloque el número de coeficientes. #define N 55 //number of coefficients float h[N]= {1.7619E-017, 7.0567E-003, 2.2150E-018,-1.0962E-002, 4.0310E-017, 1.3946E-002, 7.1787E-018,-1.4588E-002, 3.9928E-017, 1.1474E-002, 5.9881E-018,-3.5159E-003,-6.6174E-018,-9.7476E-003,-1.7919E-017, 2.7932E-002,-9.4329E-017,-4.9740E-002, 3.3834E-017, 7.3066E-002, -3.6228E-017,-9.5284E-002, 3.2194E-017, 1.1365E-001,-2.2165E-017, -1.2576E-001, 7.8980E-018, 1.3000E-001, 7.8980E-018,-1.2576E-001, -2.2165E-017, 1.1365E-001, 3.2194E-017,-9.5284E-002,-3.6228E-017, 7.3066E-002, 3.3834E-017,-4.9740E-002,-9.4329E-017, 2.7932E-002, -1.7919E-017,-9.7476E-003,-6.6174E-018,-3.5159E-003, 5.9881E-018, 1.1474E-002, 3.9928E-017,-1.4588E-002, 7.1787E-018, 1.3946E-002, 4.0310E-017,-1.0962E-002, 2.2150E-018, 7.0567E-003, 1.7619E-017};

12. El núcleo del código en C es el siguiente para implementar el filtrado indicado en la figura es el que se muestra en la figura siguiente. Observar que la frecuencia de muestreo está a 8 KHz.

#include "dsk6713_aic23.h" Uint32 fs=DSK6713_AIC23_FREQ_8KHZ;

// Archivo de soporte para el códec DSK (poner la ruta) // Frecuencia de muestreo

#include "rbfN55FIR.cof" //declaración de vectores y variables globales float x[N]; float yy; int y; interrupt void c_int11() //Rutina del servicio de interrupción { //declaración de vectores y variables locales x[0]=input_sample(); //Lectura de una muestra del códec // ************** coloque aquí la rutina de filtrado de filtrado FIR ************** y=(int) yy; output_sample(y>>15); return;

//Envío de la muestra filtrada hacia el códec

}

9

void main() { comm_intr(); while(1); }

//Inicializar el codec, DSK y McBSP //Bucle infinito a la espera de una interrupción

13. Compilar el proyecto y cargar el código ejecutable en la memoria interna del DSP. Conecte un audífono al DSK y un micrófono y pruebe el filtro con su propia voz. Describa el efecto sobre su voz de dicho filtro. (Calificación

6.0).

R= El efecto del filtrado no fue tan claro, sin embargo, el equipo llegó a la conclusión de que tuvo un estilo que se hacía escuchar la voz de forma lejana. 14. Analizar la señal elpasofs16000Nb16_4.wav para diseñar el filtro FIR apropiado y quitar la interferencia que posee. Utilizar el toolbox de adquisición de señales de Matlab 2017 para analizar dicha señal en tiempo real conectando un cable auxiliar a su celular y a la entrada del micrófono de su computadora. Utilice el siguiente programa: clear all fL = 8000; Fs=16000; % fr = dsp.AudioFileReader(... % 'salida_canal.wav',... % 'SamplesPerFrame',fL);%poner fL/2 en caso de que la Fs de la cancion esté a la mitad de la Fs de reproduccion deviceReader = audioDeviceReader(... 'SamplesPerFrame',fL,... 'SampleRate',Fs); setup(deviceReader); deviceWriter = audioDeviceWriter(... 'SampleRate',Fs/2); scope_t_c = dsp.TimeScope(... 'Name','Señal recibida del canal',... 'SampleRate',Fs,... 'TimeSpan',fL/Fs,... 'BufferLength',1e6,... 'YLimits',[-1.1 1.1]); scope_f_c = dsp.SpectrumAnalyzer(... 'Name','Espectro de señal recibida del canal',... 'SampleRate',Fs,... 'SpectralAverages',1,... 'PlotAsTwoSidedSpectrum',false,... 'RBWSource','Auto'); while 1 signal = deviceReader(); scope_t_c(signal); scope_f_c(signal); end toc release(udpr);

10

Se obtuvieron las siguientes señales utilizando el análisis en tiempo real que permite Matlab:

Figura 14.1 – Gráfica de la señal recibida del canal

Figura 14.2 – Gráfica del espectro de la señal recibida del canal 15. Una vez diseñado el filtro, obtenga un archivo de coeficientes. El contenido del archivo de coeficientes es el siguiente: #define N 147 float h[N] = {-0.00346869387980656,-0.0136993605117660,-0.000448620679614081,-0.00315615437222734,0.00123506763086968,-3.51382351302591e05,0.00152564582002764,0.00286046627553268,0.00380813442034246,0.00417232643075013,0.00387708220258987,0 .00296507605725349,0.00159083960211275,-2.83849226691100e-06,-0.00153479660048041,-0.00273648930393862,0.00341637392977758,-0.00348735327828817,-0.00299091999071941,-0.00207994709260457,0.000998176353698467,-2.49866202414947e07,0.000689189759926671,0.000941897441128589,0.000753938875732345,0.000256722712974797,0.000325284342092238,-0.000708796477301889,-0.000648027006358670,7.51059435206536e-

11

06,0.00124710867706378,0.00288722619202338,0.00457322174841320,0.00586296391058682,0.00629287331780048,0 .00551469843089721,0.00337157658985425,-8.68134640765860e-06,-0.00421382431324097,-0.00856275734877552,0.0122415914121318,-0.0144073741788759,-0.0143998543982135,-0.0118638360839944,0.00687488535917208,1.16106250131215e05,0.00783636203530634,0.0153245537507535,0.0211494380999686,0.0240979873621596,0.0233735592054947,0.018 7273016866295,0.0105749359985930,-1.04974593488723e-05,-0.0114674041124525,-0.0219484586837021,0.0296420860207278,-0.0331022374041950,-0.0314783159106684,-0.0247489507549271,0.0137317971241760,1.01058203299912e05,0.0143625295090033,0.0270329611496232,0.0359250053831781,0.0394778646447585,0.0369602520033271,0.0286 198643736451,0.0156395519475447,-7.03940954132156e-06,-0.0158671576741560,-0.0294377048383128,0.0385683684579276,0.958212507766021,-0.0385683684579276,-0.0294377048383128,-0.0158671576741560,7.03940954132156e06,0.0156395519475447,0.0286198643736451,0.0369602520033271,0.0394778646447585,0.0359250053831781,0.0270 329611496232,0.0143625295090033,1.01058203299912e-05,-0.0137317971241760,-0.0247489507549271,0.0314783159106684,-0.0331022374041950,-0.0296420860207278,-0.0219484586837021,-0.0114674041124525,1.04974593488723e05,0.0105749359985930,0.0187273016866295,0.0233735592054947,0.0240979873621596,0.0211494380999686,0.0153 245537507535,0.00783636203530634,1.16106250131215e-05,-0.00687488535917208,-0.0118638360839944,0.0143998543982135,-0.0144073741788759,-0.0122415914121318,-0.00856275734877552,-0.00421382431324097,8.68134640765860e06,0.00337157658985425,0.00551469843089721,0.00629287331780048,0.00586296391058682,0.00457322174841320,0 .00288722619202338,0.00124710867706378,7.51059435206536e-06,-0.000648027006358670,-0.000708796477301889,0.000325284342092238,0.000256722712974797,0.000753938875732345,0.000941897441128589,0.00068918975992667 1,-2.49866202414947e-07,-0.000998176353698467,-0.00207994709260457,-0.00299091999071941,0.00348735327828817,-0.00341637392977758,-0.00273648930393862,-0.00153479660048041,-2.83849226691100e06,0.00159083960211275,0.00296507605725349,0.00387708220258987,0.00417232643075013,0.00380813442034246,0 .00286046627553268,0.00152564582002764,-3.51382351302591e-05,-0.00123506763086968,-0.00315615437222734,0.000448620679614081,-0.0136993605117660,-0.00346869387980656};

16. Compilar el proyecto y cargar el código ejecutable en la memoria interna del DSP. Conecte un audífono al DSK y su celular al micrófono del DSK mediante un cable auxiliar y pruebe el filtro. Verifique que la interferencia se haya eliminado.

(Calificación 8.5).

17. Con las herramientas de visualización del CCS, graficar la respuesta al impulso, en frecuencia y en fase del filtro. (Consulte con el docente este paso). Capture las gráficas obtenidas e inclúyalas en el reporte. Las gráficas obtenidas del filtro que se implementó son las siguientes:

12

Figura 17.1 - Respuesta al impulso Filtro FIR

Figura 17.2 - Respuesta en frecuencia Filtro FIR

18. Modificar el programa de tal forma que usando los dipswitchs, se pueda seleccionar la salida sin filtrar (Dip0) y la salida filtrada (Dip1) en tiempo real. Si no se oprime ningún dipswitch no debe haber salida. (Calificación

10).

#include "dsk6713_aic23.h" para el códec DSK (poner la ruta) Uint32 fs=DSK6713_AIC23_FREQ_16KHZ; #include "h.cof"

// Archivo de soporte // Frecuencia de muestreo

#define Nh 147 #define N 147 //declaracion de vectores y variables globales float x[N]; float yy; int y; //Rutina del servicio de interrupcion interrupt void c_int11(){ int k,m; //declaracion de vectores y variables locales x[0]=input_sample(); //Lectura de una muestra del codec yy=0; for(k=0; k<=N-1;k++){ yy=yy+h[k]*x[k]; } for(m=N-1;m>0;m--){

13

x[m]=x[m-1]; } y=(int) yy; //output_sample((short) x[0]); if(DSK6713_DIP_get(0)==0){ output_sample((short) x[0]); } else if(DSK6713_DIP_get(1)==0){ output_sample(y>>15); }else{ output_sample(0); } return;

//Envio de la muestra filtrada hacia el codec //Envio de la muestra filtrada hacia el codec

} void main(){ comm_intr(); DSK6713_DIP_init(); while(1); }

//Inicializar el codec, DSK y McBSP //Bucle infinito a la espera de una interrupcion

19. (Opcional) Implementar todo, pero ahora usando poleo en vez de la interrupción 11. Cuestionario 1. ¿Para qué se utiliza la interrupción 11? Las CPU de los DSP C6000 tienen 12 interrupciones que se pueden enmascarar. Estas tienen menor prioridad que el NMI y reinicia las interrupciones. Estas interrupciones pueden ser asociado con dispositivos externos, periféricos en chip, control de software o no estar disponible. Suponiendo que no se produzca una interrupción enmascarable durante las ranuras de retardo de una rama (esto incluye ramas condicionales que no completan la ejecución debido a una condición falsa), se deben cumplir las siguientes condiciones para procesar una interrupción enmascarable: - El bit de habilitación de interrupción global (GIE) en el registro de estado de control (CSR) se establece en 1. - El bit NMIE en el registro de habilitación de interrupción (IER) se establece en 1. - El bit de habilitación de interrupción (IE) correspondiente en el IER se establece en 1. - Se produce la interrupción correspondiente, que establece el bit correspondiente en el registro de indicadores de interrupción (IFR) a 1 y no hay mayor prioridad Indicadores de interrupción (IF) bits establecidos en el IFR. 2. ¿Qué es el poleo (polling)? Técnica de acceso a periféricos que se realiza cuando la CPU mantiene el monitoreo constante de sus periféricos a la espera de recibir información de ellos o enviarles información. El método resulta ser más simple que con las interrupciones, pero es menos eficiente ya que la entrada y la salida de datos tienen que estar continuamente testeadas para determinar cuando los datos estén listos para ser recibidos o transmitidos. 3. ¿Para qué sirve la respuesta en fase en un filtro? Para saber cierta información de la distorsión de la señal. 4. ¿Para qué sirve el retardo de grupo de un filtro? El retraso de grupo y de fase de un filtro proporciona una magnitud medible para cuantificar el grado en el cual el filtro modifica la fase de una señal x[n]. 5. ¿Para qué sirve el retardo de fase de un filtro?

14

El retraso de grupo y de fase de un filtro proporciona una magnitud medible para cuantificar el grado en el cual el filtro modifica la fase de una señal x[n]. El retraso de fase del filtro es la magnitud temporal que el filtro introduce como retraso a cada componente. 6. ¿Qué efectos tiene la longitud de un filtro para señales en tiempo real? Nos dice que la señal se aproximara más a la deseada mientras más coeficientes se utilicen. Sin embargo, mientras más coeficientes se deseen, se requiere mayor memoria y mayor cálculo computacional. 7. Investigue acerca de filtros FIR de fase no lineal (ortogonales). Consulte previamente con el docente antes de responder la pregunta. Un filtro con una característica de fase no lineal originará una distorsión de fase puesto las componentes de distinta frecuencia al ser procesadas por el filtro tendrán un retraso que no será proporcional a la frecuencia y por lo tanto se alterará la relación original entre los distintos armónicos que la forman. En un filtro de fase lineal no hay distorsión de fase porque el cambio de fase del filtro es lineal. Un filtro con la característica de fase no lineal origina una distorsión de fase pues las componentes de distinta frecuencia al ser procesadas por el filtro tendrán un retraso que no será proporcional a la frecuencia y por lo tanto se alterara la relación original entre los distintos armónicos que la forman. El concepto e “wavelet” significa “onda pequeña” y mientras que las senoides son suaves, regulares e infinitas, las wavelets son irregulares y asimétricas. Al igual que la Transformada de Fourier (FT) consiste en descomponer una señal en ondas senoidales de diversas frecuencias, la Transformada Wavelet (WT) consiste en trocear una señal en versiones escaladas y desplazadas de una wavelet a la que llamamos wavelet madre. Los filtros wavelet se han de escoger atendiendo a las necesidades particulares de la aplicación a la que vayan destinados. Así mismo, de la correcta elección de los filtros wavelet dependerán los resultados del procesamiento. Para que pueda llevarse a cabo una descomposición y reconstrucción completa y perfecta de la señal, es necesario que los filtros de las estructuras wavelet posean un número finito de coeficientes (filtros FIR) y que sean regulares. También es importante conseguir que los filtros presenten linealidad de fase. Esto impide el uso de filtros ortogonales no triviales, pero permite el empleo de los filtros biortogonales. 8. ¿En qué casos preferimos usar un filtro FIR en comparación con un filtro IIR? Comparando los filtros IIR y los FIR, la ventaja de los filtros digitales IIR frente a los FIR es que normalmente requieren menores coeficientes para hacer operaciones similares de filtrado. Por lo tanto, los filtros IIR se ejecutan más rápido y no requieren de memoria extra. La desventaja de los filtros IIR la respuesta de fase es no lineal. Si la aplicación no requiere información de la fase, como una señal de monitorización, los filtros IIR podrían ser apropiados. Se deben usar los filtros FIR para esas aplicaciones que requieran respuesta de fase lineal. La naturaleza recursiva de los filtros IIR hace que sean más difíciles de diseñar e implementar.

15

OBSERVACIONES Y CONCLUSIONES

16

Referencias [1] Code Composer Studio IDE Getting Started Guide, User's Guide. SPRU509f. [2] F. J. Barrero García, S. L. Toral Marín, M. Ruiz González, Procesadores Digitales de Señal de altas prestaciones de Texas Instruments, Mc Graw-Hill, 2005. [3] R. Chassaing, Digital Signal Processing and Applications with the C6713 and C6416 DSK, WileyInterscience, 2005. [4] S. A. Tretter, “Communication System Design Using DSP Algorithms, with Laboratory Experiments for the TMS320C6713TM DSK”, Springer 2008. [5] http://www.ti.com/lit/ug/spru731a/spru731a.pdf [6] http://lcr.uns.edu.ar/fvc/NotasDeAplicacion/FVC-Ignacio%20Cousseau.pdf [7] http://www.ehu.eus/Procesadodesenales/tema5/t91b.html

[8] http://lcr.uns.edu.ar/fvc/NotasDeAplicacion/FVC-Mart%C3%ADn%20Daprotis.pdf [9] http://www.elai.upm.es/webantigua/spain/Asignaturas/Servos/Apuntes/11_RespFr.pdf 17

Related Documents

Filtro Fir Reporte
March 2021 0
Fir
January 2021 3
Filtro Biologico.xls
February 2021 1
Reporte Ruido
January 2021 1
Filtro De Bessel
February 2021 1
Filtro De Aguas Grises
February 2021 1

More Documents from "luisdcarvajal"